Asee peer logo

ENoCS: An Interactive Educational Network-on-Chip Simulator

Download Paper |

Conference

2016 ASEE Annual Conference & Exposition

Location

New Orleans, Louisiana

Publication Date

June 26, 2016

Start Date

June 26, 2016

End Date

June 29, 2016

ISBN

978-0-692-68565-5

ISSN

2153-5965

Conference Session

Software & Web-based Education

Tagged Division

Electrical and Computer

Page Count

15

DOI

10.18260/p.26720

Permanent URL

https://peer.asee.org/26720

Download Count

798

Request a correction

Paper Authors

author page

Paul William Viglucci Binghamton University

biography

Aaron P. Carpenter Wentworth Institute of Technology

visit author page

Professor Carpenter is an Assistant Professor at the Wentworth Institute of Technology. In 2012, he completed his PhD at the University of Rochester, focusing on the performance and energy of the on-chip interconnect.

visit author page

Download Paper |

Abstract

On-chip networking concepts, which are central to multicore microprocessor design, are often taught using textbooks and the standard lecture model, as it is difficult to provide interactive learning opportunities and hands-on assignments. Available on-chip network simulators typically focus on research-level accuracy and are not suitable for novices or students. Meanwhile, with each new chip generation, the importance of the on-chip interconnect grows. Career opportunities in computer architecture will increasingly rely on an understanding of the chip's communication substrate. The lack of interactive, approachable tools thus leaves students with a gap in their computer architecture education in an increasingly multicore industry.

In this paper, we present ENoCS, the Educational Network-on-Chip Simulator, which allows users of all levels of expertise to explore the on-chip network environment and see the inner workings of the on-chip communication substrate and all of its components. ENoCS contains multiple topologies and network options, as well as multiple traffic patterns for testing. ENoCS also shows microarchitectural details, including router structure, packet breakdown, and routing tables. We also present methods for incorporating ENoCS into an existing computer architecture course curriculum and an evaluation of its effectiveness in a small senior/graduate-level course. Students in the evaluated course who used the tool showed an increased competency in the concepts, as well as interest in using the tool further. While the sample size was small, it demonstrates the promise of using the ENoCS tool in the classroom. The simulator is available on-line for public use at https://github.com/ProfACarpenter/ENoCS.

Viglucci, P. W., & Carpenter, A. P. (2016, June), ENoCS: An Interactive Educational Network-on-Chip Simulator Paper presented at 2016 ASEE Annual Conference & Exposition, New Orleans, Louisiana. 10.18260/p.26720

ASEE holds the copyright on this document. It may be read by the public free of charge. Authors may archive their work on personal websites or in institutional repositories with the following citation: © 2016 American Society for Engineering Education. Other scholars may excerpt or quote from these materials with the same citation. When excerpting or quoting from Conference Proceedings, authors should, in addition to noting the ASEE copyright, list all the original authors and their institutions and name the host city of the conference. - Last updated April 1, 2015