Asee peer logo

Teaching Digital Design With Hdl

Download Paper |

Conference

1997 Annual Conference

Location

Milwaukee, Wisconsin

Publication Date

June 15, 1997

Start Date

June 15, 1997

End Date

June 18, 1997

ISSN

2153-5965

Page Count

7

Page Numbers

2.386.1 - 2.386.7

DOI

10.18260/1-2--6814

Permanent URL

https://peer.asee.org/6814

Download Count

535

Request a correction

Paper Authors

author page

M. E. Parten

Download Paper |

Abstract
NOTE: The first page of text has been automatically extracted and included below in lieu of an abstract

Session 1232

Teaching Digital Design with HDL

M. E. Parten Department of Electrical Engineering Texas Tech University Lubbock, Texas 79409-3102

Abstract

This paper describes the use of hardware descriptive languages (HDL) in an introductory, sophomore level digital design course in electrical engineering. HDL is integrated with the other basic tools in design and simulation of combinational and sequential systems. A number of examples are given.

Introduction

The use of hardware descriptive languages (HDL) to design digital systems is becoming increasingly common in industry. However, most introductory digital courses in universities do not use hardware descriptive language to any great degree. In the past, most hardware descriptive language software packages were very expensive and frequently only ran on workstations or larger computers. However, recently, there has been an increase in the availability of inexpensive and even free HDL software. This development makes the use of HDL in introductory courses possible and even advantageous. Since most students, these days, already have experience with computers and some programming, HDL is more natural for them than classical digital design techniques.

Frequently, when HDL is used in a digital design course, it is taught as a separate topic instead of integrating it with other basic concepts. In addition, it usually doesn’t occur until after combinational and sequential circuits have been covered. However, the newer software packages available today allow HDL to be used as a standard tool in the design and simulation of digital systems. This applies not only to sequential systems but also simple combinational circuits.

Most introductory digital design courses teach basic digital logic simplification using basic Boolean algebra and Karnaugh maps, as evidenced by many popular textbooks available today1,2 . However, Karnaugh maps become more difficult to use with more than four variables. Variable entry mapping is frequently used3 for higher numbers of variables, but it is difficult to know if it is a true minimum solution. Numerous computer programs have been written that enable simplification of larger systems using variations of the Quine-McCluskey method and other techniques. However, these are frequently past over in many digital courses.

Parten, M. E. (1997, June), Teaching Digital Design With Hdl Paper presented at 1997 Annual Conference, Milwaukee, Wisconsin. 10.18260/1-2--6814

ASEE holds the copyright on this document. It may be read by the public free of charge. Authors may archive their work on personal websites or in institutional repositories with the following citation: © 1997 American Society for Engineering Education. Other scholars may excerpt or quote from these materials with the same citation. When excerpting or quoting from Conference Proceedings, authors should, in addition to noting the ASEE copyright, list all the original authors and their institutions and name the host city of the conference. - Last updated April 1, 2015